Lynx Design System A highly integrated, production-ready IC development platform
←
→
Page content transcription
If your browser does not render page correctly, please read the page content below
Datasheet Lynx Design System A highly integrated, production-ready IC development platform Overview Lynx Design System The Lynx Design System is a highly integrated, production-ready, chip implementation platform that is Management Cockpit architected for rapid, “out-of-the-box” deployment to one or multiple project Production Flow sites. It combines an open, tape-out Foundry- proven RTL-to-GDSII design flow, Ready GUI-based runtime automation, System Foundry- Ready design metrics capture and reporting System and a subsystem that automates the configuration of pre-validated Advanced methodologies foundry data. The Lynx Design System takes full advantage of the comprehensive Synopsys Galaxy™ Runtime Manager Implementation Platform, but is flexible to readily accommodate internal or third–party tools. Key benefits Production-proven design flow incorporates the latest methodologies to deliver `` fast and predictable results Open environment supports multiple third-party tools, libraries and foundries `` Validated IP, libraries and foundry technology data accelerate project start `` GUI-based runtime environment simplifies flow configuration, execution `` and monitoring Process-specific tape-out checks and settings improve the quality of `` foundry handoff Web-based Management Cockpit delivers on-demand visibility into key design `` metrics and trends to enable project transparency at all levels Evaluate multiple design scenarios in parallel through the Runtime Manager and `` view the results in a simple dashboard matrix in the Management Cockpit
integrate third-party tools if necessary. low power optimization and analysis, Design environment For example, designers can configure full-chip hierarchical design, etc., that Synopsys tools such as DC Ultra™, span multiple tools. The Lynx Design Automation and utilities Design Compiler ® Graphical and System includes built-in support for Technology node optimization TetraMAX ATPG for synthesis and ® concurrent modeling analysis and sign- design-for-test. For design planning, off throughout the flow including static Hierarchical RTL-to-GDSII flow designers can configure the flow for timing analysis (STA), formal verification, multiple voltage domains or virtual automatic test pattern generation (ATPG) ARM-Synopsys implementation RMs flat design. Signal integrity avoidance, and reliability analysis such as EM and DFM and design-for-yield (DFY) IR drop for power and signal nets. Built-in advanced methodologies optimizations can be done for the place Embedded in Lynx are the best and route step. Multi-corner multi-mode design practices from the ARM- DC RM DP RM ICC RM (MCMM) sign-off and advanced formal Synopsys implementation Reference verification can be done for the chip Methodologies (iRM) using ARM finishing step. physical IP optimized for ARM Figure 2: Lynx’s Production Flow. In addition to tool configuration, processors. The iRM streamlines the Production Flow’s modular the procedures used by designers Advanced, RTL-to-GDSII architecture enables users to easily to target ARM processors to their design flow and sub-flows configure horizontal methodologies chosen technology nodes by delivering The Production Flow (Figure 2) such as UPF-compliant MCMM for a comprehensive solution proven embedded in the Lynx Design System is built on a leading-edge design flow that is tape-out proven on over a 100 designs in a wide range of applications. It is in use from older nodes to 32/28nm manufacturing processes. This complete, hierarchical RTL-to-GDSII implementation flow includes: Synopsys Galaxy Implementation `` Platform Reference Methodologies ARM-Synopsys iRM’s optimized for `` power, performance and area Over 45 optimized methodologies `` built-in, from power management to DFM/DFY Full chip hierarchical RTL-to-GDSII `` support Tested with multiple foundries, `` libraries and technology nodes Over 60 tape-out specific checks `` Over 50 design metrics automatically `` captured Support for job distribution and data `` management Multi-site/multi-user support enabled `` out-of-the-box Fully supported and regularly updated `` for latest methods The Lynx Design System allows designers to configure and customize tool capabilities and methodologies based on their project needs and Figure 3: Drag and drop interface for creating and modifying flows. Lynx Design System 2
by ARM and Synopsys to enable a customized flow. It also includes The Runtime Manager includes other outstanding performance and energy the ability to graphically execute productivity-enhancing features such efficiency. Additionally, the Lynx and monitor the flow(s) as a design as a command-line interface and Design System incorporates Synopsys progresses through the entire RTL-to- color-coded ‘Running/Completed/ tool methodologies, such as Design GDSII process, or subsets of the flow. Error’ task status monitoring as shown Compiler and IC Compiler reference With the Runtime Manager, creating a in Figure 4. This intuitive visualization methodologies, allowing designers to new flow or modifying existing flows is capability quickly highlights errors as get their designs into production as accomplished using intuitive drag and the design progresses through the flow. quickly and efficiently as possible. drop operations in the flow diagrams, as Once a problem is identified, debug is The Production Flow in Lynx is shown in Figure 3. Flat or hierarchical easier as designers can inspect report continuously updated to support flows for a single block or for an and log files within the GUI and launch the latest tool releases and design entire chip are defined by connecting tools to view or edit the design in the methodologies, ensuring designers are various tasks together based on their correct context. taking maximum advantage of their EDA dependencies. Parallel task execution Management Cockpit delivers tool investment. and design exploration involving multiple greater project visibility and invocations of the same task or flow Runtime Manager for easy higher predictability with different parameters are also easily flow configuration, execution The browser-based Management specified. Once defined, these flows and monitoring Cockpit provides designers and can then be executed and their status The Lynx Design System includes an managers platform-independent, monitored from within the Runtime intuitive and easy-to-use GUI which ‘on-demand’ access to quality-of- Manager. These designs tasks can be enables rapid configuration of the results (QoR) and resource-related distributed across a compute farm using encapsulated methodologies into project metrics. Over 50 metrics are industry-standard job distribution tools. automatically acquired in a database Figure 4: Flow execution status is identified through our color-coded status monitoring. Lynx Design System 3
Figure 5: Management Cockpit reports. by the Lynx Design System during flow Through an intuitive user interface, Accelerating project start execution, and additional user-defined managers and designers can easily and tape-out with Foundry- metrics can be defined. Examples of create custom reports such as: Ready System metrics pre-configured for capture in Dashboards that show key project `` Mismatched, incorrect, or incomplete Lynx include: metrics such as frequency, area technology and library files can Chip/block area, width and height `` and power negatively impact project schedule Cell utilization `` Trend analyses of design progress and `` and designer productivity. The risk is Number of instances and logic gates `` comparison to target goals especially high at newer process nodes, Number of clock and voltage domains `` Current design status summary `` where technology data is constantly Number of HVT, LVT and RVT cells `` Block level comparisons of QoR results `` changing. Lynx’s Foundry-Ready Min/Max Worst-case Negative Slack `` Summary of tools and tool versions `` System helps address these early (WNS) used in the flow design impediments by providing tools Min/Max Total Negative Slack (TNS) `` to validate technology and foundry The Management Cockpit provides Clock skew and clock latency `` data in Lynx’s Production Flow. The a web-accessible tool for project Total, leakage and dynamic power `` Foundry-Ready System includes scripts leads, design management and senior DRC and LVS errors `` and documentation necessary to executives to share a consistent, ATPG fault coverage `` pre-test libraries and technology files accurate and real-time view of their Run time, memory usage and CPU `` and configure them for proper design project status. This critical data enables usage by task flow execution. informed and timely decisions to be The Management Cockpit’s reporting made as necessary through the course Upon initial Lynx deployment, Synopsys GUI facilitates access to all captured of the program to optimize use of will show you how to configure the project metrics and enables users to resources and achieve desired goals. Foundry-Ready System for your specific specify their design targets to simplify technology node, enabling you to: the checking of design status (Figure 5). Lynx Design System 4
Set up scripts for your specific library/ `` Other common Lynx Design System libraries customizations include: Set up scripts for your specific foundry `` Integration of internally developed `` technology files methodologies and processes for Create/Update technology-specific `` specialized flows and handoff points flow scripts Automatic tracking of additional custom `` The Foundry-Ready System also metrics (e.g. number of IP instances, improves tape-out quality with handoff dates, milestones, etc.) embedded foundry “tape-in” criteria. Additional tape-out process checks `` It integrates process-specific (e.g. critical area analysis that methodologies such as metal fill and calculates die yield probabilities) via optimization and representative Integrating Lynx’s Production Flow into `` settings like on-chip variation (OCV) into customer’s design infrastructure the design flow. Through a combination of automated checks, documented Conclusion guidelines and post-GDSII reports, the The Lynx Design System is a highly Foundry-Ready System helps facilitate integrated, production-ready SoC first-time-right, manufacturing-ready development platform delivering a design submissions. streamlined path to tape-out. Based on proven flows, it eases new technology Open architecture facilitates adoption and enables designers to work integration with your specific smarter and faster, delivering higher design environment productivity and project predictability. The Lynx Design System’s modular For more information, visit architecture enables it to be customized www.synopsys.com/lynx. to a variety of end-user design environments. For example, steps in the production flow are partitioned at key logical handoff points (synthesis, design planning, place and route, etc.) which make it easy for customers to plug-in custom “sub-flows” as needed. While Lynx’s Production Flow is tuned to deliver superior quality of results with the Synopsys Galaxy Implementation Platform, it can readily incorporate internal or other vendors’ tools into the design flow by updating standard and well understood TCL scripts and makefiles. Synopsys, Inc. 700 East Middlefield Road Mountain View, CA 94043 www.synopsys.com ©2009 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at http://www.synopsys.com/copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners. 03/09.TT.09-17034.
You can also read