Xilinx Customers Shape a Brilliant Future

Page created by Clarence Waters
 
CONTINUE READING
Xilinx Customers Shape a Brilliant Future
ISSUE 92, THIRD QUARTER 2015

          V SPECIAL ISSUE V

Xilinx Customers          5G Wireless Brings
                          Ubiquitous Connectivity

Shape a Brilliant         The Coming Revolution
                          in Vehicle Technology

Future
                          Machine Learning in the Cloud:
                          Deep Neural Networks on FPGAs
                          Power Fingerprinting
                          Cybersecurity Using Zynq SoCs

      World’s First
      Programmable City
      Arises, Built on
      Xilinx FPGAs 18
                                 www.xilinx.com/xcell
Xilinx Customers Shape a Brilliant Future
Lifecycle                Technology

Design it or Buy it?
Shorten your development cycle with Avnet’s SoC Modules

Quick time-to-market demands are forcing you to rethink how you design, build and deploy your
products. Sometimes it’s faster, less costly and lower risk to incorporate an off-the-shelf solution
instead of designing from the beginning. Avnet’s system-on module and motherboard solutions for
the Xilinx Zynq®-7000 All Programmable SoC can reduce development times by more than four
months, allowing you to focus your efforts on adding differentiating features and unique capabilities.

Find out which Zynq SOM is right for you http://zedboard.org/content/design-it-or-buy-it

    facebook.com/avnet          twitter.com/avnet   youtube.com/avnet
Xilinx Customers Shape a Brilliant Future
Integrated Hardware and Software
       Prototyping Solution

   HAPS and ProtoCompiler accelerate software development,
   HW/SW integration and system validation from individual IP blocks
   to processor subsystems to complete SoCs.
   „ Integrated ProtoCompiler design automation software speeds
     prototype bring-up by 3X
   „ Enhanced HapsTrak I/O connector technology and high-speed
     time-domain multiplexing deliver the highest system performance
   „ Automated debug captures seconds of trace data for superior
     debug visibility
   „ Scalable architecture supports up to 288 million ASIC gates to
     match your design size

   To learn more visit: www.synopsys.com/HAPS
Xilinx Customers Shape a Brilliant Future
L E T T E R             F R O M             T H E         P U B L I S H E R

                                                              Kudos to Customers—and to a
Xcell journal                                                 New Quarterly: Xcell Software Journal
PUBLISHER                      Mike Santarini
                               mike.santarini@xilinx.com
                               408-626-5981

                                                              W
                                                                         elcome to this special issue of Xcell Journal celebrating the ways in which Xilinx cus-
EDITOR                         Jacqueline Damian                         tomers are enabling a new era of innovation in six key emerging markets:
                                                                         vision/video, ADAS/autonomous vehicles, Industrial IoT, 5G, SDN/NFV and cloud
ART DIRECTOR                   Scott Blair                    computing. Each of these segments is bringing truly radical new products to our society. And
                                                              as the technologies advance over the next few years, the six sectors will converge into a net-
DESIGN/PRODUCTION Teie, Gelwicks & Associates
                  1-800-493-5551                              work of networks that will bring about substantive changes in how we live our lives daily.
                                                                  Vision systems are quickly becoming ubiquitous, having long since evolved beyond their initial
ADVERTISING SALES              Judy Gelwicks                  niches in security, digital cameras and mobile devices. Likewise undergoing rapid and remarkable
                               1-800-493-5551
                               xcelladsales@aol.com
                                                              growth are advanced driver assistance systems (ADAS), which are getting smarter and expanding
                                                              to enable vehicle-to-vehicle communications (V2V) for autonomous driving and vehicle-to-infra-
INTERNATIONAL                  Melissa Zhang, Asia Pacific    structure (V2I) communications that will sync vehicles with smart transportation infrastructure to
                               melissa.zhang@xilinx.com       coordinate traffic for an optimal flow through freeways and cities.
                                                                  These smart vision systems, ADAS and infrastructure technologies form the fundamental
                               Christelle Moraga, Europe/
                               Middle East/Africa             building blocks for emerging Industrial Internet of Things (IIoT) markets like smart factories,
                               christelle.moraga@xilinx.com   smart grids and smart cities—all of which will require an enormous amount of wired and wire-
                                                              less network horsepower to function. Cloud computing, 5G wireless and the twin technologies
                               Tomoko Suto, Japan             of software-defined networking (SDN) and network function virtualization (NFV) will supply
                               tomoko@xilinx.com
                                                              much of this horsepower.
REPRINT ORDERS                 1-800-493-5551                     Converged, these emerging technologies will be much greater than the sum of their individual
                                                              parts. Their merger will ultimately enable smart cities and smart grids, more productive and more
                                                              profitable smart factories, and safer travel with autonomous driving.
                                                                  Xilinx® customers have begun creating remarkable systems in all these market segments
                                                              with our 28-nanometer All Programmable FPGAs, SoCs and 3D ICs. Still on deck are even more
                                                              ingenious technologies destined to be built around our 20nm UltraScale™ and 16nm FinFET
                                                              UltraScale+™ technologies as Xilinx rolls out more of these devices over the course of the
                                                              next two years.
                                                                  While Xilinx continues to innovate by increasing the sophistication and system functionality of
                                                              our devices, we are also constantly developing ways to enable more design teams to bring new
                                                              innovations to existing markets and to pioneer emerging markets.
                                                                  To this end, in the last eight months Xilinx took a bold step forward by releasing three new
                                                              development environments in our SDx™ line (see cover story, Xcell Journal issue 91). The new
                                                              SDSoC™, SDAccel™ and SDNet™ offerings enable software engineers, system architects and
                                                              mathematicians (non-HDL, hardware design experts) to program the logic—not just the embedded
 www.xilinx.com/xcell/
                                                              processors—in Xilinx All Programmable FPGAs and SoCs. The result is to dramatically speed up
                                                              software performance and create highly optimized designs with overall system performance per
Xilinx, Inc.
2100 Logic Drive
                                                              watt that can’t be replicated with any other semiconductor device.
San Jose, CA 95124-3400                                           In fact, I’m proud to announce that the company is expanding the charter of my small and
Phone: 408-559-7778
FAX: 408-879-4780
                                                              mighty team here at Xilinx to launch a sister publication to Xcell Journal. The new quarterly maga-
www.xilinx.com/xcell/                                         zine, called Xcell Software Journal, will roll out later this summer, focusing on high-level design entry
                                                              methods for software engineers, systems engineers and anyone else who is interested in using our
© 2015 Xilinx, Inc. All rights reserved. XILINX,
the Xilinx Logo, and other designated brands included
                                                              SDx development environments and high-level tools from Xilinx Alliance Program members.
herein are trademarks of Xilinx, Inc. All other trade-           I hope you will enjoy reading this special issue of Xcell Journal celebrating our customers’
marks are the property of their respective owners.
                                                              efforts in these exciting new markets. We continue to welcome articles about your own experi-
The articles, information, and other materials included       ences with Xilinx devices, and now you will have two venues for publication: Xcell Journal and our
in this issue are provided solely for the convenience of
our readers. Xilinx makes no warranties, express,
                                                              new quarterly, Xcell Software Journal.
implied, statutory, or otherwise, and accepts no liability
with respect to any such articles, information, or other
materials or their use, and any use thereof is solely at
the risk of the user. Any person or entity using such
information in any way releases and waives any claim
it might have against Xilinx for any loss, damage, or
expense caused thereby.                                                           Mike Santarini
                                                                                  Publisher
Xilinx Customers Shape a Brilliant Future
Need to Find Bugs in Your
  FPGA Design Faster?

 You can with Synplify Premier…
 „ Debug where you design in the RTL and integrate hardware fixes quickly
   with incremental synthesis
 „ Simulator-like visibility enables viewing signals from an operating FPGA at
   the target operating speed

 To learn more about how Synopsys FPGA design tools accelerate
 debug, visit: www.synopsys.com/fpga
Xilinx Customers Shape a Brilliant Future
C O N T E N T S

VIEWPOINTS
Letter from the Publisher

Kudos to Customers—
and to a New Quarterly:
Xcell Software Journal… 4

Special
Issue
Xilinx Customers
Shape a Brilliant
Future

8
Xilinx Customers Shape a Brilliant Future
THIRD                     QUARTER   2015,   ISSUE   92

XCELLENCE BY DESIGN APPLICATION FEATURES
Xcellence in Smart Cities
World’s First Programmable City Arises, Built on Xilinx FPGAs… 18
                                                                                                                            18
Xcellence in 5G Wireless Communications
5G Wireless Brings Ubiquitous Connectivity… 26

Xcellence in Industrial IoT
Innovative Platform-Based Design for the Industrial Internet of Things… 32

Xcellence in ADAS/Autonomous Vehicles
The Coming Revolution in Vehicle Technology and its BIG Implications… 38

Xcellence in Data Center Cloud Computing

                                                                                                                            38
Machine Learning in the Cloud: Deep Neural Networks on FPGAs… 46

Xcellence in SDN/NFV
All Programmable SDN Switch Speeds Network Function Virtualization… 52

Xcellence in Software-Defined Networking
Xilinx FPGAs Serve Performance SDN… 58

Xcellence in Cybersecurity
Implementing Power-Fingerprinting Cybersecurity Using Zynq SoCs… 64

                                                                                                  26
       XTRA READING
       Xclamations! Share your wit and
       wisdom by supplying a caption for
       our wild and wacky artwork… 70

        Excellence in Magazine & Journal Writing   Excellence in Magazine & Journal Design
                       2010, 2011                              2010, 2011, 2012
Xilinx Customers Shape a Brilliant Future
COVER STORY

Xilinx Customers Shape
a Brilliant Future
by Mike Santarini
Publisher, Xcell Journal
Xilinx, Inc.
mike.santarini@xilinx.com

8        Xcell Journal      Third Quarter 2015
Xilinx Customers Shape a Brilliant Future
E
                                                                         COVER STORY

Xilinx customers are leading
the way in the development
of today’s major emerging
market trends. Xilinx is
enabling this development with
All Programmable technologies
that deliver software intelligence
and hardware optimization.

                                     Ever since Thomas Edison flipped the switch to pow-
                                     er the first electric light, the pace of electronic indus-
                                     try innovation has never let up. We now enjoy so many
                                     remarkable electronic innovations that shape our dai-
                                     ly lives that it’s easy to overlook the moment when a
                                     true milestone in electronics is being reached. Today
                                     we are fast approaching one of those milestones.
                                         Six important emerging markets—video/vision, ADAS/
                                     autonomous vehicles, Industrial Internet of Things, 5G
                                     wireless, SDN/NFV and cloud computing—will soon
                                     merge into an omni-interconnected network of networks
                                     that will have a far-reaching impact on the world we live
                                     in. This convergence of intelligent systems will enrich our
                                     lives with smart products that are manufactured in smart
                                     factories and driven to us safely in smart vehicles on the
                                     streets of smart cities—all interconnected by smart wired
                                     and wireless networks deploying services from the cloud.
                                         Xilinx Inc.’s varied and brilliant customer base is
                                     leveraging Xilinx® All Programmable devices and soft-
                                     ware-defined solutions to make these new markets and
                                     their convergence a reality.
                                         Let’s examine each of these emerging markets and
                                     take a look at how they are coming together to enrich
                                     our world. Then we’ll take a closer look at how custom-
                                     ers are leveraging Xilinx devices and software-defined
                                     solutions to create smarter, connected and differentiat-
                                     ed systems that in these emerging markets to shape a
                                     brilliant future for us all (Figure 1).

                                     IT STARTS WITH VISION
                                     Vision systems are everywhere in today’s society. You
                                     can find cameras with video capabilities in an ev-
                                     er-growing number of electronic systems, from the
                                     cheapest mobile phones to the most advanced surgi-
                                     cal robots to military and commercial drones and un-
                                     manned spacecraft exploring the universe. In concert,

Third Quarter 2015                                                             Xcell Journal   9
Xilinx Customers Shape a Brilliant Future
COVER STORY

the supporting communications and                 units—a combination of cameras and              alerting guards, homeowners or police
storage infrastructure is quickly shift-          thermal, night-vision and radar sensors.        of suspicious behavior.
ing gears from a focus on moving voice            These fusion sensors can, in all weather           The mainframe system can also gath-
and data to an obsession with fast video          conditions, autonomously perform fa-            er metadata to be stored, analyzed and
transfer.                                         cial and object recognition, identify and       cross-referenced at a later date by inte-
   Just three decades ago, vision/vid-            track erratic or suspicious activities and      grated security centers. Companies can
eo systems were very crude by today’s             even identify and track individuals—all         use the data gleaned from their surveil-
standards. For example, the most so-              in near-real time. Each unit in these sur-      lance technology for purposes beyond
phisticated surveillance and security             veillance systems will autonomously             security. For example, retailers can
systems of the time primarily consist-            capture visual or even thermal images,          use the metadata to analyze customer
ed of a video camera (with poor reso-             enhancing them through image-correc-            browsing and buying habits to better
lution) connected by a coaxial cable              tion algorithm computations, and even           serve their clientele. They can also li-
to a monitor, which may or may not                perform localized processing that can           cense the metadata they have gathered
have been diligently overseen by a se-            instantaneously analyze everything in           to affiliates and product vendors to im-
curity guard or attendant. The camera             its field of view.                              prove product marketing and sales.
may or may not have been linked to                    What’s more, these individual units            As discussed in depth in the cover
a recording device that had a limited             are often networked—by wire or                  story of Xcell Journal issue 83, this
number of hours to record what imag-              wirelessly—into a mainframe system,             smart vision/video technology is be-
es the camera captured.                           allowing all the points in the surveil-         coming pervasive and is being lever-
   By comparison, today’s most ad-                lance system to work in concert to              aged in a growing number of applica-
vanced surveillance systems are highly            continuously track individuals in the           tions. One of them, in the automotive
intelligent. They are composed of sophis-         system’s field of vision while simulta-         industry, is advanced driver assistance
ticated processing-driven, fusion-sensor          neous recording their movements and             systems (ADAS), a field that in turn

                   Figure 1 – Customers are leveraging Xilinx All Programmable solutions to create innovations for the emerging
                        markets of ADAS, Industrial IoT, video/vision, 5G wireless, SDN/VFV networks and cloud computing.

10      Xcell Journal                                                                                                             Third Quarter 2015
COVER STORY

                     Figure 2 – The sophistication of advanced driver assistance systems is rapidly evolving thanks in large part to
                      customers’ use of Xilinx’s Zynq-7000 All Programmable SoC devices to build fusion-sensor ADAS platforms.

is advancing via processing to enable               detected an object behind the vehicle.             on to take the next bold step and extend
autonomous vehicles. Advanced vi-                   The automotive industry has since en-              lessons learned in ADAS technology to
sion technology is being further lever-             hanced this technology greatly by fusing           enable vehicle-to-vehicle (V2V) com-
aged in smart factories, smart medical              the radar sensor with rear-view cameras            munications, vehicle-to-infrastructure
equipment, transportation infrastruc-               and improving the algorithms to widen              (V2I) communications and semi-auton-
ture and even in smart cities—all                   the sensor’s field of view. Now, these             omous and ultimately autonomous ve-
emerging sectors of the Industrial In-              rear-view sensor systems can more                  hicles, in which drivers will be able to
ternet of Things (IIoT) market.                     accurately track objects in the sensor             merely copilot their vehicles. With these
                                                    array’s field of view and identify poten-          technologies in place, there will pre-
ADAS’ DRIVE TO                                      tially dangerous conditions. In the very           sumably be fewer accidents. Moreover,
AUTONOMOUS VEHICLES                                 highest-end vehicles, the sensor systems           vehicles can be platooned on highways
If you own or have ridden in an automo-             are fused and connected to the vehicle’s           and traffic can run more efficiently,
bile built in the last decade, chances are          central control unit to automatically              which will cut down on fuel consump-
you have already experienced the value              brake if the driver is distracted.                 tion. That, in turn, holds the potential to
of ADAS technology. Indeed, perhaps                    From the humble but effective be-               mitigate fossil fuel pollution.
some of you wouldn’t be here to read                ginnings of the rear-view camera, au-                 OEMs today are actively building and
this article if ADAS hadn’t advanced                tomakers now offer ADAS systems                    even beginning to publicize their prog-
so rapidly. The aim of ADAS is to make              with full 360-degree views around and              ress in autonomous vehicles. Daimler
drivers more aware of their surround-               even inside vehicles. Figure 2 displays            subsidiary Freightliner, for example,
ings and thus better, safer drivers.                the many types of ADAS systems on                  has received licensing in the state of
    The very first of these ADAS tech-              an automobile today, and shows how                 Nevada to operate its self-driving Inspi-
nologies was rear-view warning. The                 advanced processing and specialized                ration Super Truck. Meanwhile, Mer-
earliest versions used a radar sensor               algorithms have enabled a small num-               cedes-Benz, Google, Audi and Tesla are
connected to an automobile’s central                ber of relatively inexpensive sensors              among the many companies that are
electronic control unit (ECU). When a               to perform multiple tasks.                         actively striving to bring autonomous
driver placed the vehicle in reverse, the              ADAS systems have proven so suc-                vehicles to the mass market. It’s truly a
system sounded a warning if the sensor              cessful and so reliable that the race is           race. And the stakes are high.
Third Quarter 2015                                                                                                                     Xcell Journal   11
COVER STORY

    The cyber-physical systems of Factory 4.0 will be impressive,
bringing varying degrees of artificial intelligence to the already smart
 systems and enabling the factory equipment to be self-correcting
  and self-healing, with autonomous operation. A robot in a factory
        line will be able to detect if it is not running optimally.
   The challenges for introducing fully     and enabling some truly major, substan-     maintenance and preorder parts that
autonomous vehicles involve ensuring        tive advances in society.                   they’ll need to replace. In turn, they can
the vehicles are aware of their locations       In Germany, the manufacturing sec-      schedule factory downtime to perform
and their surroundings. They must be        tor of Industrial IoT is seen as such a     multiple repairs at once to increase fac-
able, in real time, to act accordingly as   critical market that the government         tory efficiency and productivity, and ul-
road conditions change second by sec-       is actively sponsoring IIoT develop-        timately to maximize profitability.
ond to ensure the safety of those in and    ment. In a German government effort             But the cyber-physical systems of
around the vehicle. How best to do this     called Industry 4.0, companies are          Factory 4.0 will be far more impressive,
given that not all vehicles on the road     combining processing, sensor fusion         bringing varying degrees of artificial in-
will have autonomous-driving capabili-      and connectivity to create machine in-      telligence to the already smart systems
ties is a question industry and govern-     telligence for cyber-physical systems       and enabling the factory equipment to
ments are debating. The answers will        (CPS) for factories, hospitals and civ-     be self-correcting and self-healing with
undoubtedly fall to safety standards for    ic infrastructure. The result will be the   autonomous operation. For example, a
smart communications between vehi-          enabling of the fourth industrial revo-     robot in a factory line will be able to de-
cles and more forward-looking commu-        lution (Figure 3). German companies         tect if it is not running optimally. It will
nications between vehicles and civic in-    alone expect to spend $44 billion per       run self-diagnostics to determine if a
frastructure. Advances in the emerging      year on the CPS retooling, and coun-        part is wearing out, and will even try to
realm of the Industrial IoT will help to    tries like China, Taiwan and India—all      reboot or adjust its motor performance
create this infrastructure.                 known for manufacturing—will need           to delay system failure. The information
                                            to follow suit to stay competitive.         can be networked to the factory’s main-
IIOT’S EVOLUTION TO THE                         CPS designs employ smart architec-      frame system to order new parts while
FOURTH INDUSTRIAL REVOLUTION                tures equipped with fusion sensors sim-     other robots work faster to ensure over-
The term Internet of Things has received    ilar to those used in ADAS. The smart,      all factory efficiency remains constant.
much hype and sensationalism over the       fusion-sensor-based control units in            The Industrial IoT market also in-
last 20 years—so much so that to many,      today’s most advanced factories can         cludes smart grids and smart transporta-
“IoT” conjures up images of a smart re-     quickly spot defects in products as they    tion that use the same any-to-any connec-
frigerator that notifies you when your      whirl along assembly lines and remove       tivity concepts of a smart factory but on a
milk supply is getting low and the wear-    the faulty items. Factories use smart       grander scale, extending automation and
able device that receives the “low-milk”    control systems to create virtual bar-      connectedness to the power grid and to
notification from your fridge while also    riers that spot unsafe conditions for       planes, trains, automobiles and shipping.
fielding texts, tracking your heart rate    workers. Companies have networked           Megacorporation General Electric, for ex-
and telling time. These are all nice-to-    these sensors with the machines in the      ample, is adding intelligent and connect-
have, convenience technologies.             factory to shut down machinery instant-     ed systems across the many industries it
   But to a growing number of people,       ly if a worker comes too close to dan-      serves, including power grid, transporta-
IoT means a great deal more. In the last    gerous parts of the equipment.              tion, oil and gas, mining and water. In rail
couple of years, the industry has divid-        Smart sensor systems of today also      transportation, for instance, GE is outfit-
ed IoT into two segments: consumer          monitor the wear of factory motors          ting its locomotives with smart technol-
IoT for convenience technologies (such      and parts. Sensors are networked with       ogies to prevent accidents and monitor
as nifty wearables and smart refrigera-     factory control centers and enterprise      systems for wear for more accurate, pre-
tors), and Industrial IoT (IIoT), a bur-    systems to help companies perform           ventative and predictive maintenance.
geoning market opportunity addressing       and optimally schedule equipment            At the same time, GE is also diligently
12      Xcell Journal                                                                                                  Third Quarter 2015
COVER STORY

              Figure 3 – Industry 4.0 is the evolution from embedded systems to cyber-physical systems that, through advanced processing,
                 enable smart manufacturing, infrastructure and cities. The result will likely be the world’s fourth industrial revolution.

building smart rail infrastructure equip-            munications to create a truly connect-           INTERCONNECTING EVERYTHING
ment that it has networked with its loco-            ed, intelligent city. To do so, the Bristol      TO EVERYTHING ELSE
motives. This allows railway operators               Is Open project is heavily leveraging the        In response to the need for better,
to efficiently run their lines and schedule          newest, open, yet secure network topolo-         more economical network topologies
maintenance accordingly to keep freight              gies, enabling companies wishing to cre-         that can efficiently and affordably ad-
and passengers moving efficiently, again             ate solutions for smart cities to connect        dress the explosion of data-based ser-
maximizing operator profitability.                   their networks to Bristol Is Open’s master       vices required for online commerce
   On an even grander scale, variations              network. Hamburg, Chicago and Tokyo              and entertainment as well as the many
of these smart-infrastructure technol-               are among the many other municipalities          emerging IIoT applications, the com-
ogies are now being integrated in the                worldwide that are actively engaging in          munications industry is rallying be-
IIoT market segment called smart cities,             smart-city development.                          hind two related network topologies:
which is projected to be a $400 billion in-             The emerging trends toward soft-              software-defined networks and net-
dustry globally by 2020. As you will read            ware-defined networking (SDN) and                work function virtualization.
in a contributed article in this issue, the          network function virtualization (NFV) in            Traditional wired networks have
city of Bristol, England, is currently un-           wired communications, along with the             been based on fairly rigid and pro-
dertaking a project that offers a peek into          advent of 5G wireless technologies, are          prietary hardware with limited pro-
the cities of tomorrow. The project is in-           seen as key to enabling further growth of        grammability and versatility. SDN at-
tegrating disparate networks for city san-           smart-city and other Industrial IoT mar-         tempts to add greater flexibility into
itation and maintenance, traffic and grid            ket sectors in this mass electronic-sys-         network administration by decoupling
management, and emergency services                   tems convergence as network traffic              the top-level control plane functions,
along with business and personal com-                grows exponentially in the coming years.         which decide where data will be sent,
Third Quarter 2015                                                                                                                Xcell Journal   13
COVER STORY

from the lower-level data plane func-      industry expects that by 2020, wireless     SECURITY EVERYWHERE
tions such as routers and switches—        networks will be connecting more than       As systems from all of these emerging
the devices that actually forward data     50 billion devices worldwide. Among its     smart markets converge and become
to the selected destination. A soft-       many advantages over 4G, 5G promises        massively interconnected and their
ware-programmable abstraction layer        to increase end-user data rates by 10x to   functionality becomes intertwined,
between the control and data planes        100x while decreasing download laten-       there will be more entry points for
allows operators to provision new ap-      cy fivefold. Further, these bandwidth       nefarious individuals to do a greater
plications in software, prioritize and     increases will enable more people and       amount of harm affecting a greater
optimize where data is delivered in the    businesses to use cloud-based services      amount of infrastructure and greater
control plane and deliver that data on     and storage. More companies will be         number of people. The many compa-
existing proprietary hardware (or, with    able to create virtual stores reaching      nies actively participating in bringing
NFV added, via vendor-neutral hard-        new customers worldwide, while con-         these converging smart technologies
ware) that operators can scale with        sumers will have the ability to store and   to market realize the seriousness of
changing service requirements.             access data anytime, anywhere.              ensuring that all access points in their
   NFV approaches enable companies            In turn, data centers supporting         products are secure. A smart nuclear
to further optimize data plane function-   cloud-based business and storage            reactor that can be accessed by a back-

             The wireless industry expects that by 2020,
           wireless networks will be connecting more than
            50 billion devices worldwide. Among its many
           advantages over 4G, 5G promises to increase
              end-user data rates by 10x to 100x while
                decreasing download latency fivefold.

ality. By virtualizing in software what    demands will need to expand mas-            door hack of a $100 consumer IoT de-
would typically be the job of very ex-     sively to accommodate the daunt-            vice is a major concern. Thus, security
pensive specialized hardware (routers      ing amount of traffic facilitated by        at all point points in the converging
and switches), NFV makes it possible       5G wireless networks and SDN/NFV            network will become a top priority,
to run the software-derived virtualized    wireline topologies. Today’s data           even for systems that seemingly didn’t
functions on less expensive, more gen-     centers are struggling to keep up           require security in the past.
eral-purpose hardware (personal serv-      with demand, while their power con-
ers and commercial data centers). NFV      sumption is increasing exponentially.       XILINX PRIMED TO ENABLE
enables network hardware resources         Data centers now consume upwards            CUSTOMER INNOVATION
to expand economically and, with SDN       of 3 percent of the world’s electric        Over the course of the last 30 years,
added, scale on demand and as needed       power, while producing 200 million          Xilinx’s customers have become the
as traffic loads increase and decrease     metric tons of CO2. That enormous           leaders and key innovators in all of
around the world.                          power consumption costs data cen-           these markets. Where Xilinx has played
   On the wireless communications          ters more than $60 billion a year in        a growing role in each generation of
front, 5G promises to reach new data       electricity. With data center traffic       the vision/video, ADAS, industrial, and
rate heights that will not only enable     expected to reach 7.7 zettabytes an-        wired and wireless communications
faster data downloads and streaming        nually by 2017, it’s no wonder that         segments, today its customers are plac-
video for handset users, but will also     data center operators are looking           ing Xilinx All Programmable FPGAs,
provide bandwidth increases that will      for new hardware architectures to           SoCs and 3D ICs at the core of the
facilitate the convergence of IIoT and     increase performance while keeping          smarter technologies they are develop-
smart-city applications. The wireless      power consumption in check.                 ing in these emerging segments.
14      Xcell Journal                                                                                              Third Quarter 2015
COVER STORY

Xilinx for smarter vision/video              ing these innovations to consumers have        ing secure and safe standards-compliant
With a rich history in space exploration,    shortened, thanks in large part to the         smart platforms with sensor fusion, smart
mil-aero and security systems, Xilinx has    wide use of Xilinx All Programmable de-        motion/motor control and smarter and
long served the market with sophisticat-     vices. Xilinx devices made their debut in      faster enterprise connectivity. These All
ed vision and video platforms as well as     automotive infotainment systems but are        Programmable platforms are the underly-
the intellectual property (IP) and meth-     now making a definitive mark in ADAS.          ing technology for smart wind farms com-
odologies to help customers build smart         Today, Xilinx’s Zynq-7000 All Pro-          posed of many smart wind turbines, each
video/vision systems.                        grammable SoC is fast becoming the de          of which can adapt to changing weather
   Customers are using Xilinx All Pro-       facto platform provider for advanced           conditions for maximum efficiency. The
grammable FPGAs and SoCs in their            ADAS systems. Audi, Mercedes-Benz,             turbines are connected to control and en-
vision platforms for real-time analytics     BMW, Ford, Chrysler, Honda, Mazda,             terprise systems that monitor wear and
to create ADAS systems with high-ve-         Nissan, Toyota, Acura and Volkswagen           schedule preventative maintenance so as
locity object detection/recognition;         are among the OEMS using Zynq SoCs             to avoid entire-system malfunctions.
clinically precise imaging systems that      or other Xilinx All Programmable devic-            With the greater capacity, function-
help surgeons guide robotic instru-          es in their ADAS systems. The Zynq SoC         ality and processing clout of Ultra-
ments with pinpoint accuracy; and            serves as a multicamera, multifeature          Scale™ and UltraScale+ devices, Xil-
UAVs and surveillance systems that           driver assist platform, a high-resolution      inx’s IIoT customers will be able to
have instantaneous friend-vs.-foe rec-       video and graphics platform, a vehicle         advance these smart platforms even
ognition and tracking.                       networking and connectivity platform           further, endowing them with greater
   With the soon-to-arrive 16-nano-          and an image-processing and recogni-           intelligence for next-generation cy-
meter Zynq® UltraScale+™ MPSoC               tion platform. Customers implement             ber-physical systems. With the Zynq
boasting a total of seven onboard pro-       algorithms for their design’s most com-        MPSoC’s seven processors, for exam-
cessing cores (quad-core ARM® Cor-           plex and compute-intensive functions           ple, customers will be able to integrate
tex®-A53, dual-core Cortex-R5 and a          in the logic portion of the Zynq SoC and       more sensor and motor/motion con-
Mali GPU core), Xilinx customers will        use the onboard ARM processing system          trol functions into a single device and
be able to create even more intelli-         for serial processing.                         achieve real-time response not possible
gent and highly integrated video sys-           With its seven processors, Xilinx’s         with any other ASSP-plus-FPGA con-
tems, speeding up ADAS’ push toward          new Zynq Ultrascale+ MPSoC is destined         figuration. The Zynq MPSoC’s on-chip
autonomous vehicles and Industrial           to provide even more fuel for innova-          processing and logic will enable im-
IoT’s drive to Industry 4.0 factories        tion as OEMs drive toward semi-auton-          proved self-monitoring and diagnostics
and smart-city infrastructure.               omous and fully autonomous vehicles.           functionality. Equipment will employ
                                             With 64-bit application processors, re-        self-healing algorithms or partial recon-
From ADAS to autonomous vehicles             al-time processors, a graphics proces-         figuration to optimize performance as
In the early 2000s, Xilinx added au-         sor, on-chip memory and FPGA logic all         machine conditions change or demand
tomotive-grade variants to its FPGA          on the same device, OEMs can create            ebbs and flows. What’s more, the Zynq
product portfolio. Ever since then,          ever-more-sophisticated fusion systems         Ultrascale+ MPSoC can work in harmo-
automotive customers have given Xil-         including V2V communications. What’s           ny with Zynq SoC-based systems.
inx devices a growing role in their ef-      more, IIoT smart infrastructure and                In smart-city applications, compa-
forts to enrich the driving experience       smart cities can leverage these same           nies can use Zynq SoC-based smart-sen-
through electronics.                         Zynq MPSoC platforms for V2X. The in-          sor systems at the edge of the smart
   The automotive industry has gone          nate programmability ensures the V2V           city’s surveillance network to enhance
through a remarkable renaissance of          and V2I networks will scale as the stan-       camera resolution and perform object
quality, safety and reliability thanks to    dards evolve and as more autonomous            detection and real-time threat analysis.
electronics. For many decades, automo-       vehicles enter the roadways.                   Then, they can turn to the Zynq Ultra-
tive electronics largely consisted of wire                                                  Scale+ MPSoC to synchronize the data
harnesses connecting lights and radios to    Enabling cyber-physical                        received from each Zynq SoC-based
a battery and an alternator. Then, in the    systems for IIoT                               smart sensor and communicate it ac-
early 2000s, OEMs began using electronic     Customers in the industrial market have        cordingly with traffic control or author-
control units to replace highly unreliable   greatly advanced factory efficiency and        ities as threats, odd behavior, accidents
mechanical actuators. Every year since       safety over the last two decades using Xil-    or congestion are detected.
then, OEMs have added more advanced          inx devices. Today, with Xilinx’s All Pro-         Likewise in the factory, in addition
electronics to their vehicle lines. What’s   grammable FPGAs and SoCs, customers            to being at the heart of cyber-physical
more, the development cycles for bring-      in all the major segments of IIoT are build-   systems, the Zynq Ultrascale+ MPSoC
Third Quarter 2015                                                                                                  Xcell Journal   15
COVER STORY

                                                                                         For data centers at the core of

        In SDN/NFV, Xilinx All Programmable                                           cloud computing, Xilinx’s devices en-
                                                                                      able companies to create equipment
                                                                                      with maximum programmability and
      technologies are enabling customers to                                          very high performance per watt that
                                                                                      they can rapidly optimize for chang-
     build equipment with intrusion detection,                                        ing throughput, latency and power
                                                                                      requirements from a wide range of ap-
                                                                                      plications such as machine learning,
      load balancing and traffic management.                                          video transcoding, image and speech
                                                                                      recognition, big-data analysis, Cloud-
     Xilinx supports efficient management and                                         RAN and data center interconnect.

                                                                                      Xilinx for smart security
       routing of data flows, a wide range of                                         With so many exciting technologies un-
                                                                                      der development and certain to reach
     communication protocols and programmable                                         new levels of sophistication, autonomy
                                                                                      and intelligence while all being inter-

        data plane acceleration on demand.
                                                                                      connected, security measures will need
                                                                                      to keep up.
                                                                                         With many decades playing in the
                                                                                      mil/aero and security sectors, Xilinx
can function as the macro controller of     devices, Xilinx is enabling custom-       provides physical security by means
a factory network of Zynq SoC-based         ers today to quickly bring to the mar-    of anti-tamper technology to protect
motor control, motion control and           ket 5G and SDN/NFV infrastructure         IP and sensitive data implemented
fusion factory-line quality and safety      equipment with the highest degree         on its devices from physical attacks.
systems. Companies can leverage the         of programmability. Xilinx’s All Pro-     Xilinx also provides application secu-
seven processors to coordinate real-        grammable FPGAs, SoCs and 3D ICs          rity via fault-tolerant design, an imple-
time response and analysis received         are the most flexible platforms for the   mentation methodology that ensures
from the Zynq SoC control system. At        evolving software and hardware re-        the design can correct faults from
the same time, they can perform meta-       quirements of 5G and SDN/NFV. Fur-        propagating. Xilinx devices and IP en-
data analysis and communicate it with       ther, they are the ideal programmable     able customers to implement several
the enterprise through proprietary net-     solution for the performance-per-watt     types of fault-tolerance techniques
works (in full compliance with safety       demands of data center systems at the     including real-time system monitor-
and reliability standards) and through      heart of the cloud computing business,    ing, modular redundancy, watchdog
emerging high-speed 5G wireless and         poised to expand rapidly with 5G and      alarms, segregation by safety level
SDN/NFV wired networks.                     SDN/NFV networking.                       or classification, and isolation of test
                                               In SDN/NFV, Xilinx All Programma-      logic for safe removal.
Xilinx for 5G, SDN/NFV                      ble technologies are enabling custom-
and cloud computing                         ers to build equipment with intrusion     MORE BRILLIANT MINDS,
Xilinx’s devices have played a signifi-     detection, load balancing and traffic     MORE INNOVATIONS
cant role in every buildout of the wire-    management. Xilinx supports efficient     In a move that will enable all of these
less and wired networking infrastruc-       management and routing of data flows,     impending innovations in all of these
ture since the 1980s. With every cycle of   a wide range of communication proto-      many markets to come to fruition more
Moore’s Law, Xilinx devices have grown      cols and programmable data plane ac-      rapidly, Xilinx recently introduced its
in capacity and functionality to the        celeration on demand.                     SDx™ development environments to
point where today’s All Programmable           In 5G, customers are leveraging        ease the programming job. The new
devices enable design teams to innovate     Xilinx All Programmable devices to        products will bring the performance
new networking systems with the high-       create distributed small cells, mas-      and programmability advantages of
est level of system programmability and     sive-MIMO systems with hundreds of        Xilinx devices to a far wider user base
differentiation ever seen.                  antennas and platforms that perform       than ever before. By providing design
   With Xilinx’s 7 series, 20nm Ultra-      centralized baseband processing via       entry via high-level languages, the SDx
Scale and upcoming 16nm UltraScale+         Cloud-RAN.                                environments enable software engi-
16      Xcell Journal                                                                                              Third Quarter 2015
COVER STORY

neers and system architects to pro-             Xilinx FPGAs in C, C++ and OpenCL™           As we are fast approaching the mile-
gram Xilinx devices with languages              to accelerate the performance of virtu-   stone where video/vision, ADAS/auton-
they are accustomed to using (see cov-          alized network functions (VNFs).          omous vehicles, IIoT, 5G wireless, SDN/
er story, Xcell Journal issue 91). Soft-           To enable further innovation in vid-   NFV and cloud computing converge, we
ware engineers outnumber hardware               eo/vision, ADAS/autonomous vehicles       are certain to see a number of innovations
engineers worldwide 10 to 1.                    and IIoT applications that call for em-   that will drastically change the society we
   To enable further innovation in SDN,         bedded processing, Xilinx’s SDSoC™        live in—hopefully for the better. Today,
Xilinx’s new SDNet™ software-defined            development environment allows soft-      we are at the early stages of all these in-
environment lets systems engineers              ware and system engineers to create       novations, and Xilinx is well equipped to
build programmable data plane solu-             entire systems in C++. They can op-       help customers bring their brilliant prod-
tions with a high-level language to meet        timize system performance by having       ucts to market. In the following pages in
a network’s unique performance and              the environment’s compiler implement      this special issue of Xcell Journal, you will
latency requirements. To fuel further           slower functions in the Zynq SoC’s or     get a small sampling of the many exciting
innovation in NFV and other network             MPSoC’s logic blocks. In this way, ar-    innovations Xilinx customers are creating
architectures and topologies, develop-          chitects and software engineers can       in these emerging markets and a peek at
ers can use Xilinx’s SDAccel™ environ-          create systems with optimum perfor-       how they are leveraging Xilinx’s All Pro-
ment, which enables system and soft-            mance and functionality that simply       grammable solutions today to make them
ware engineers to program the logic in          isn’t achievable in two-chip platforms.   a reality for us all…very soon.

            Debugging Xilinx's
            Zynq TM -7000 family
            with ARM ® CoreSight TM

      ► RTOS support, including Linux
            kernel and process debugging

      ► SMP/AMP multicore Cortex ®- A9
              MPCore TMs debugging

      ► Up to 4 GByte realtime trace
            including PTM/ITM

      ► Profiling, performance and
            statistical analysis of Zynq TM's
            multicore Cortex ®-A9 MPCore TM

Third Quarter 2015                                                                                                   Xcell Journal   17
XCELLENCE IN SMART CITIES

World’s First
Programmable City
Arises, Built on
Xilinx FPGAs
 by Bijan R. Rofoee
 Senior Network Engineer
 Bristol Is Open
 Bijan.Rofoee@bristol.ac.uk

 Mayur Channegowda
 Chief Scientist, SDN
 Zeetta Networks
 www.zeetta.com

 Shuping Peng
 Research Fellow
 University of Bristol
 Chief Scientist, Virtualization
 Zeetta Networks

 George Zervas
 Professor of High-Performance Networks
 University of Bristol

 Dimitra Simeonidou
 CTO, Bristol Is Open
 Professor of High-Performance Networks
 University of Bristol

18        Xcell Journal                   Third Quarter 2015
XCELLENCE IN SMART CITIES

Bristol, England, has become a testbed                                                      people to cities will grow the number of
                                                                                            urban residents by 60 million every year
for smart-city technologies. The Bristol                                                    during that decade. [2] The result is that
                                                                                            more than 70 percent of the world’s pop-
Is Open project is a living experiment in                                                   ulation will be living in cities by 2050.
                                                                                            Considering also that cities occupy just
the evolution of the Internet of Things.                                                    2 percent of the world’s landmass while
                                                                                            consuming about three-quarters of its re-

B
                                                                                            sources, the ongoing urbanization pres-
                  y 2050, the human popu-      ment in the smart-city sector includes       ents economic and societal challenges
                  lation will have reached     around $150 million for research into        and a strain on the urban infrastructure.
                  9 billion people, with 75    smart cities funded by Research Coun-        Growing cities will have to deal with a
                  percent of the world’s       cils U.K.; $79 million over five years       variety of challenges to maintain eco-
                  inhabitants living in cit-   earmarked for the new Future Cities          nomic advancement, environmental sus-
                  ies. With already around     Catapult center being established            tainability and social resiliency.
 80 percent of the United Kingdom’s            by the Technology Strategy Board in             The solution is to make cities smart-
population living in urban areas, the          London; $52 million invested in future       er. Although there is no absolute defini-
U.K. needs to ensure that cities are fit       city demonstrators earlier this year;        tion for smart cities, there are a number
for purpose in the digital age. Smart cit-     and $63 million recently allocated to        of key aspects widely recognized [3] for
ies can help deliver efficiency, sustain-      Internet of Things (IoT) research and        a smart city’s operations. They include:
ability, a cleaner environment, a higher       demonstrator projects.
                                                                                              • Citizen-centric service delivery,
quality of life and a vibrant economy.            Bristol Is Open is leading the way
                                                                                                which involves placing the citizen’s
   To this end, Bristol Is Open (BIO) is       to building a city-scale research and
                                                                                                needs at the forefront.
a joint venture between the University         innovation testbed. The aim is to drive
                                                                                              • Transparency of outcomes/perfor-
of Bristol and Bristol City, with collabo-     digital innovation for the smart cities of
                                                                                                mance to enable citizens to com-
rators from industry, universities, local      the future: the open and programmable
                                                                                                pare and critique performance,
communities, and local and national            communities that will be the norm in
                                                                                                establishment by establishment
governments. Bristol Is Open (www.             the latter part of the 21st century.
                                                                                                and borough by borough.
bristolisopen.com) is propelling this             The BIO testbed is equipped with
                                                                                              • An intelligent physical infrastruc-
municipality of a half million people in       leading-edge programmable networking
                                                                                                ture, enabling service providers
southwest England to a unique status as        technologies, enabled by a citywide op-
                                                                                                to manage service delivery, data
the world’s first programmable city.           erating system called NetOS, that allow
                                                                                                gathering and data analyzing
   Bristol will become an open testing         smart-city applications to interact with
                                                                                                effectively.
ground for the burgeoning new market           city infrastructure—to program, virtual-
                                                                                              • A modern digital, secure and open
of the Industrial Internet of Things—          ize and tailor network functions for op-
                                                                                                software infrastructure, to allow
that is, the components of the smart-city      timum performance. Xilinx devices as
                                                                                                citizens to access the information
infrastructure. The Bristol Is Open proj-      high-performance generic platforms are
                                                                                                they need, when they need it.
ect leverages Xilinx® All Programmable         utilized at many points in the city from
FPGA devices in many areas of develop-         the wired, wireless and IoT networking          Technological enablers for smart
ment and deployment.                           infrastructure to emulation facilities.      cities are inspired by the Internet of
                                                  Let’s take a tour of this new type of     Things, a market that, according to
THE VISION OF THE SMART CITY                   urban community, starting with the           Gartner, [4] will grow to 26 billion units
A smart city utilizes information and          overall vision for programmable cit-         installed as of 2020. That total rep-
communications networks along with             ies. Then we will take a deeper look         resents an almost thirtyfold increase
Internet technologies to address ur-           at how the Bristol project is utilizing      from 0.9 billion in 2009, with the rev-
ban challenges, with the objective of          Xilinx devices to build urban “white         enue from technologies and services
dramatically improving livability and          boxes” and to deliver various net-           exceeding $300 billion. Smart cities de-
resource sustainability. It is predicted       working functions.                           ploy IoT technologies on a wide scale,
[1] that the smart-cities industry will                                                     enabling data gathering from sensors
value more than $400 billion global-           FUTURE SMART CITIES                          and things present in the ecosystem,
ly by 2020, with the U.K. expected to          More than 100 cities of 1 million people     pushing them for analysis and feeding
gain at least a 10 percent share, or $40       will be built in the next 10 years world-    back commands to actuators, which
billion. The U.K. government invest-           wide [2], while the continuous influx of     will control city functions.
Third Quarter 2015                                                                                                   Xcell Journal   19
XCELLENCE IN SMART CITIES

   From sensing and analysis, infor-          tions. These technologies exploit open        BRISTOL IS OPEN:
mation passes back to actuators in            software and hardware platforms,              VISION AND ARCHITECTURE
the city infrastructure to control op-        which users can program to tailor             Launched in 2013, Bristol Is Open is a
erations dynamically. This arrange-           network functions for different use           program funded by the local, national
ment is an enabler for driverless cars        case requirements. Improved con-              and European governments and also by
using smart transport facilities; great-      trol, monitoring and resource alloca-         the private sector. BIO is already deliv-
er power efficiency thanks to smart           tion in the network are the evident           ering R&D initiatives that contribute to
lighting; the management of network           benefits of deploying programmable            the advancement of smart cities and the
resources for different times (daily          networks. More important, program-            Internet of Things.
and seasonal changes); the movement           mable technologies facilitate the                BIO aims to serve as a living lab—an
of resources depending on occasions           integration of networks with IT fa-           R&D testbed targeting city-driven digi-
such as sports events, which require          cilities, which will result in greater        tal innovation. It provides a managed
high-quality broadcast and coverage;          application awareness.                        multitenancy platform for the develop-
and efficient handling of emergency              Software-defined networking (SDN)          ment and testing of new solutions for
situations (city evacuation).                 is one of the main enablers for program-      information and communication infra-
                                              mable networks. The SDN foundation            structure, and thus forms the core ICT
PROGRAMMABLE CITY                             is based on decoupling infrastructure         enabling platform for the Future Cities
VS. SMART CITY                                control from the data plane, which            agenda. At the infrastructure level, BIO
Smart cities aim to improve and en-           greatly simplifies network management         comprises five distinctive SDN-enabled
hance public and private service offer-       and application development while also        infrastructures, as shown in Figure 1:
ings to citizens in a more efficient and      allowing deployment of generic hard-
                                                                                            •	Active nodes as optoelectronic-net-
cost-effective way by exploiting net-         ware in the network for delivering net-
                                                                                               work white boxes using FPGA
work, IT and, increasingly, cloud tech-       working functions.
                                                                                               programmable platforms and het-
nologies. To achieve this goal, smart            SDN-based scalable and facilitat-
                                                                                               erogeneous optical and Layer 2/3
cities rely extensively on data collected     ed network management also greatly
                                                                                               networking infrastructure
from citizens, the environment, vehicles      empowers network virtualization. Net-
and basically all the “things” present in     work virtualization essentially enables       •	Heterogeneous wireless infrastructure
the city. The more data that becomes          multiple users to operate over shared            comprising Wi-Fi, LTE, LTE-A and 60-
available, the more accurately city op-       physical resources, isolated from one            GHz millimeter-wave technologies
erations can be analyzed, which in turn       another, reducing the need for install-
                                                                                            • IoT sensor mesh infrastructure
will lead to the design and availability of   ing supplementary physical hardware.
smart-city services.                          Network function virtualization (NFV),        •	Network emulator comprising a serv-
   For the network infrastructure, city-      a more recent innovation in virtualiza-          er farm and an FPGA-SoC-network
wide data retrieval and processing mean       tion technologies, offers software im-           processor farm
massive amounts of sensor data that           plementation of network functions in          •	Blue Crystal high-performance com-
needs to be collected, aggregated and         commodity hardware. Network func-                puting (HPC) facility
transferred to computational facilities       tions such as firewall, deep packet in-
(data centers) for storage and possibly       spection, load balancing and so on are           On the metro network, the infra-
processing. The wide diversity of sce-        deployed as pluggable software con-           structure offers access to dynamic opti-
narios and applications presents major        tainers in generic machines, expedit-         cal switching supporting multi-terabit/
challenges regarding networking and           ing network service deployments with          second data streams, multirate Layer
computing infrastructure requirements         great cost-efficiency.                        2 switching (1 to 100 GbE) and Layer
in smart cities. Legacy information and          In addition to software-driven net-        3 routing. The metro is also equipped
communications technology (ICT) ur-           working, hardware and infrastructure          with programmable hardware plat-
ban infrastructure can be a major bot-        programmability will progress beyond          forms and high-performance servers
tleneck for smart-city operations, as it      fixed-function hardware data planes.          to allow open access to the infrastruc-
does not offer the capacity, flexibility      Adding high-level programmability and         ture and a capability to create and
and scalability desirable for the emerg-      more sophisticated functionality to           experiment with new hardware and
ing, future-proof, resource-demanding         the data plane, accessed via standard         software solutions. This wired part of
and scalable smart-city applications.         software APIs, will make it possible to       the infrastructure also connects to the
   Programmable networking technolo-          manage networking resources more in-          Blue Crystal HPC facilities at Bristol in
gies offer unique capabilities for raising    telligently and efficiently, increasing the   order to support experimentation with
the performance of smart-city opera-          rate of innovation.                           advanced cloud infrastructures.
20      Xcell Journal                                                                                                    Third Quarter 2015
XCELLENCE IN SMART CITIES

   The access network infrastructure          ture communication technologies and             also enables continuous investment
includes overlapping and seamless             cloud networking.                               into smart infrastructure at the lowest
wireless connectivity solutions (mac-                                                         layers of the ICT installations by driv-
ro and small-cell radio technologies)         SOFTWARE-DEFINED                                ing the reduction of costs for physical
using a combination of cellular and           NETWORKING FOR CITY                             components and pushing more of the
Wi-Fi technologies enhanced with              INFRASTRUCTURES                                 operational aspects into the software.
millimeter-wave backhaul and direct           The communications sector has seen                 As SDN is now reaching beyond ICT
connections to the optical network.           a flowering of innovative solutions in          infrastructures into the IoT platforms, it
The facility also supports experimen-         recent years based on the concept of            creates the opportunity to realize a full
tation platforms for new 5G-and-be-           SDN, bringing advances in IT to the             circle of adaptability of computing and
yond access technologies such as mil-         traditional hardware-driven telecom-            communication infrastructures, where
limeter-wave-based access solutions           munications world. This decoupling              sensory and real-world information
with beam tracking, as well as new            of control and data through SDN en-             drives the operation of the network.
technology enablers such as massive           ables innovative ways of controlling            Network infrastructures in turn are uti-
MIMO for ultrahigh-density networks           a network, while relying on a basic             lized to provide the sensor information
in the 2-GHz band.                            data-forwarding operation, common               to applications and services in a mean-
   In addition, BIO provides priority ac-     across all networking elements. The ap-         ingful and timely manner. At BIO, it is
cess to the infrastructure (for example,      proach allows the integration of novel          our vision for that programmability and
lampposts) for the additional installation    architecture concepts, such as infor-           adaptability across the various layers of
of sensor nodes in the area, supported        mation-centric networking (ICN), into           the overall system to ultimately imple-
by suitable data aggregators, computing       such a software-driven network. SDN             ment the notion of what we call a Living
and storage resources. Optionally, these
resources can directly interface into the
wired and wireless network. BIO has
also installed a low-energy wireless-sen-
sor mesh network. This network will
support IoT-based research, with initial
sensors supporting environmental mon-
itoring (temperature, air quality, pollu-
tion levels, lighting, noise and humidity)
and smart streetlights.
   BIO will also provide access, through
suitable secure interfaces, to IoT assets
already installed elsewhere in the city,
including parking sensors, traffic lights,
traffic flow sensors, surveillance (safe-
ty) cameras and public-vehicle sensors.
Small sensors, including the smart-
phones and GPS devices of willing
participants, will supply information
about many aspects of city life, includ-
ing energy, air quality and traffic flows.
All the data generated will be rendered
anonymous and made public through
an “open data” portal.
   The entire platform uses SDN con-
trol principles and, as such, is fully pro-
grammable by experimenters and end
users. Internationally, the BIO experi-
mental network will be the first of its
kind and will generate new and exciting         Figure 1 – The Bristol Is Open fiber network places active core nodes at four locations in
opportunities to pioneer the develop-                the city. HPC facilities and emulation are accessible through the network core.
                                                Wireless technologies (802.11ac, 802.11ad, LTE, LTE-A) are spread out through the center.
ment of hardware and software for fu-
Third Quarter 2015                                                                                                        Xcell Journal      21
You can also read